vhdl_reference_93:interface_declarations

Interface declarations

  • Interface declarations define interface objects of a precisely defined type.
  • Interface objects are interface constants, interface signals, interface variables and interface files.

interface_element { ; interface_element }

  • interface_declaration
  • interface_constant_declaration
  • interface_signal_declaration
  • interface_variable_declaration
  • interface_file_declaration

[ signal ] identifier_list : [ mode ] subtype_indication [ bus ] [ := static _expression ]

– subtype_indication must not be a file or pointer type.

file identifier_list : subtype_indication

– subtype_indication have to indicate a subtype of a file type.

  • in – Ports cannot be written; default mode
  • out – Ports cannot be read
  • inout – Ports can be read and written
  • buffer – Ports can be read but only be written by one source
  • linkage – Ports can be read and written, but only as “actuals”.