synthesizeable_vhdl-model-library:nor

NOR gate

nor_gate.vhd
-- ############################################################################
-- # Project    :    VHDL-Modellbibliothek				      #
-- #                                                                          #
-- # Filename   :    nor_gate.vhd                                             #
-- #                                                                          #
-- # Schaltung  :    Mehrfach NOR-Gatter mit parametrierbarer Anzahl          #
-- #                 von Eingaengen                                           #
-- #                                                                          #
-- # Modell	:    nor_gate                                                 #
-- #                                                                          #
-- # Designer   : Wolfgang Sehr; ueberarbeitet von Stefan Schmechtig          #
-- # Abteilung  : Lehrstul fuer rechnergestuetzten Schaltungsentwurf          #
-- # Datum      : 28.03.1995                                                  #
-- ############################################################################
 
-- ############################################################################
-- #                        IEEE PACKAGES                                     #
-- ############################################################################
   Library IEEE;
   use IEEE.std_logic_1164.all;
   use IEEE.std_logic_arith.all;
-- ############################################################################
 
 
ENTITY nor_gate IS
	GENERIC ( X: INTEGER := @BITBR       -- X: Bitbreite des Gatters
	        );
	PORT	(@EING
		 y	     	: OUT STD_LOGIC
		);
 
	-- nor_ein_x		: x-ter Eingang des NOR-Gatters
	--			:
	-- y			: Ausgang des NOR-Gatters
 
END nor_gate;
 
ARCHITECTURE dataflow OF nor_gate IS
SIGNAL daten_ein		: UNSIGNED((X-1) DOWNTO 0);
 
	-- daten_ein		: internes Signal fuer Eingangsdaten
 
BEGIN
    @EING_ZUW
 
 
    PROCESS(daten_ein)
    VARIABLE erg		: STD_LOGIC;
    BEGIN
	erg := '0';
 
        FOR i IN 0 TO (X-1) LOOP
	   erg := erg OR daten_ein(i);
	END LOOP;
	erg := NOT(erg);
 
	y <= erg;
    END PROCESS;
 
END dataflow;
 
CONFIGURATION CFG_nor_gate OF nor_gate IS
   FOR dataflow
   END FOR;
END CFG_nor_gate;
tb_gate.vhd
-- ############################################################################
-- # Project    :    VHDL-Modellbibliothek				      #
-- #                                                                          #
-- # Filename   :    tb_gate.vhd                                              #
-- #                                                                          #
-- # Schaltung  :    Testbench fuer Einfach-Gatter mit parametrierbarer       #
-- #                 Anzahl von Eingaengen                                    #
-- #                                                                          #
-- # Modell	:    tb_gate                                                  #
-- #                                                                          #
-- # Designer   : Wolfgang Sehr                                               #
-- # Abteilung  : Lehrstul fuer rechnergestuetzten Schaltungsentwurf          #
-- # Datum      : 28.03.1995                                                  #
-- ############################################################################
 
-- ############################################################################
-- #                        IEEE PACKAGES                                     #
-- ############################################################################
   Library IEEE;
   use IEEE.std_logic_1164.all;
   use IEEE.std_logic_arith.all;
-- ############################################################################
 
ENTITY TB_gate IS
	GENERIC (X : INTEGER := ${BITBR}    -- X: Anzahl der Eingaenge 
					    --    des Gatters
		 );   
END TB_gate;
 
 
ARCHITECTURE behaviour OF TB_gate IS
SIGNAL tb_daten_ein	: UNSIGNED ((X-1) 	DOWNTO 0);
SIGNAL tb_y		: STD_LOGIC;
 
 
	-- tb_daten_ein		: Dateneingangsvektor von der Testbench
	--			: erzeugt
	--			:
	-- tb_y			: Ausgangsvektor des Gatters
	--			:
 
COMPONENT gate
	PORT( ${TB_PDEKL}
	      y			: OUT STD_LOGIC		
	    );
END COMPONENT;
 
BEGIN
   UUT:   gate
	  PORT MAP (${TB_EING_ZUW}
		    y		=> tb_y
		   );
 
   stim: PROCESS
	 BEGIN
	    tb_daten_ein <= CONV_UNSIGNED(0, tb_daten_ein'LENGTH);
	    WAIT FOR 10 ns;
 
	    LOOP
               tb_daten_ein <= tb_daten_ein + '1';
	       WAIT FOR 10 ns;
	    END LOOP;
 
	 END PROCESS;
 
END behaviour;
 
 
CONFIGURATION CFG_TB_gate OF TB_gate IS
   FOR behaviour
       FOR UUT: gate
	    USE CONFIGURATION WORK.CFG_gate;
       END FOR;
   END FOR;
END CFG_TB_gate;
trace_gate.vhd
tr tb_daten_ein
tr tb_y