-- ############################################################################ -- # Project : VHDL-Modellbibliothek # -- # # -- # Filename : nor_gate.vhd # -- # # -- # Schaltung : Mehrfach NOR-Gatter mit parametrierbarer Anzahl # -- # von Eingaengen # -- # # -- # Modell : nor_gate # -- # # -- # Designer : Wolfgang Sehr; ueberarbeitet von Stefan Schmechtig # -- # Abteilung : Lehrstul fuer rechnergestuetzten Schaltungsentwurf # -- # Datum : 28.03.1995 # -- ############################################################################ -- ############################################################################ -- # IEEE PACKAGES # -- ############################################################################ Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; -- ############################################################################ ENTITY nor_gate IS GENERIC ( X: INTEGER := @BITBR -- X: Bitbreite des Gatters ); PORT (@EING y : OUT STD_LOGIC ); -- nor_ein_x : x-ter Eingang des NOR-Gatters -- : -- y : Ausgang des NOR-Gatters END nor_gate; ARCHITECTURE dataflow OF nor_gate IS SIGNAL daten_ein : UNSIGNED((X-1) DOWNTO 0); -- daten_ein : internes Signal fuer Eingangsdaten BEGIN @EING_ZUW PROCESS(daten_ein) VARIABLE erg : STD_LOGIC; BEGIN erg := '0'; FOR i IN 0 TO (X-1) LOOP erg := erg OR daten_ein(i); END LOOP; erg := NOT(erg); y <= erg; END PROCESS; END dataflow; CONFIGURATION CFG_nor_gate OF nor_gate IS FOR dataflow END FOR; END CFG_nor_gate;