vhdl_reference_93:qualified_expression