vhdl_workshop:lab_10

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
vhdl_workshop:lab_10 [2017/02/14 14:43]
SSE Minion
vhdl_workshop:lab_10 [2017/02/15 17:42]
SSE Minion [Synopsis]
Line 3: Line 3:
 A main control unit is needed to coordinate the actions of the different modules. The interface of the module is depicted in the next drawing: A main control unit is needed to coordinate the actions of the different modules. The interface of the module is depicted in the next drawing:
  
-TODO Bild The main controller interface+{{:vhdl_workshop:workshop_maincontroller.svg?nolink&500|The main controller interface}}
  
 When the trigger button is pressed the shutter shall be opened and stay opened for the selected exposure time. This is done by setting the TIMER_GO signal one period to high, so the exposure controller opens the shutter for the selected exposure time. After the exposure time has passed the film has to be transported. So the motor needs a signal to start the transport Therefore MOTOR_GO is set to high for one period. When the trigger button is pressed the shutter shall be opened and stay opened for the selected exposure time. This is done by setting the TIMER_GO signal one period to high, so the exposure controller opens the shutter for the selected exposure time. After the exposure time has passed the film has to be transported. So the motor needs a signal to start the transport Therefore MOTOR_GO is set to high for one period.