vhdl_workshop:lab_10

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Next revision
Previous revision
vhdl_workshop:lab_10 [2017/02/13 16:52]
SSE Minion created
vhdl_workshop:lab_10 [2017/02/15 17:42]
SSE Minion [Synopsis]
Line 3: Line 3:
 A main control unit is needed to coordinate the actions of the different modules. The interface of the module is depicted in the next drawing: A main control unit is needed to coordinate the actions of the different modules. The interface of the module is depicted in the next drawing:
  
-TODO Bild The main controller interface+{{:vhdl_workshop:workshop_maincontroller.svg?nolink&500|The main controller interface}}
  
 When the trigger button is pressed the shutter shall be opened and stay opened for the selected exposure time. This is done by setting the TIMER_GO signal one period to high, so the exposure controller opens the shutter for the selected exposure time. After the exposure time has passed the film has to be transported. So the motor needs a signal to start the transport Therefore MOTOR_GO is set to high for one period. When the trigger button is pressed the shutter shall be opened and stay opened for the selected exposure time. This is done by setting the TIMER_GO signal one period to high, so the exposure controller opens the shutter for the selected exposure time. After the exposure time has passed the film has to be transported. So the motor needs a signal to start the transport Therefore MOTOR_GO is set to high for one period.
Line 9: Line 9:
 Now two things can appear: The motor has successfully transported the film, which is signalled by the MOTOR_READY signal. In this case a new photo can be made by the camera. It is the TRIGGER signal has to be examined again. Now two things can appear: The motor has successfully transported the film, which is signalled by the MOTOR_READY signal. In this case a new photo can be made by the camera. It is the TRIGGER signal has to be examined again.
  
-Or an error occurred while transporting the film. In this case the MOTOR_ERROR signal is set and the ERROR output signal has to be set, so the display indicate this case. When the cause of the error is removed (e.g. a new film is inserted) this has to be signalled by the user by pressing the trigger button.+Or an error occurred while transporting the film. In this case the MOTOR_ERROR signal is set and the ERROR output signal has to be set, so the display indicate this case. When the cause of the error is removed (e.g. a new film is inserted) this has to be signalled by the user by pressing RESET or the trigger button once, but without taking a picture at the same time.
  
 ==== Behaviour ==== ==== Behaviour ====
Line 229: Line 229:
 end CFG_TB_MAIN_CTRL; end CFG_TB_MAIN_CTRL;
 </code> </code>
 +
 +===== Package =====
 +{{page>.:package}}