architecture RTL_IF of DECODER is begin architecture process(KEYPAD) begin process if KEYPAD(0) = '1' then KEY <= … ; elsif KEYPAD(1) = '1' then KEY <= … ; elsif KEYPAD(2) = '1' then KEY <= … ; elsif KEYPAD(3) = '1' then KEY <= … ; elsif KEYPAD(4) = '1' then KEY <= … ; … elsif KEYPAD(9) = '1' then KEY <= … ; else KEY <= … ; end if; end process; end RTL_IF;