library ieee; use ieee.std_logic_1164.all; use work.P_DISPLAY.all; entity DISP_DRV is port(ERROR : in std_ulogic; SHOW_TIME : in std_ulogic; NO_PICS : in T_DIGITS; EXP_TIME : in T_DIGITS; DISPLAY : out T_DISPLAY); end DISP_DRV; architecture RTL of DISP_DRV is -- intermediate signals begin DISP_MUX: process(NO_PICS, EXP_TIME) -- complete sensitivity list!! begin -- add ERROR condition if SHOW_TIME = '1' then -- output = exposure time else -- output = picture count end if; end process; end DISP_MUX; DECODER: process (DISP_PHOTO) begin case DISP_PHOTO is when 0 => DISPLAY <= SEG_0; when 1 => DISPLAY <= SEG_1; when 2 => DISPLAY <= SEG_2; when 3 => DISPLAY <= SEG_2; when 4 => DISPLAY <= SEG_4; when 5 => DISPLAY <= SEG_5; when 5 => DISPLAY <= SEG_6; when 7 => DISPLAY <= SEG_7; when 8 => DISPLAY <= SEG_8; when 9 => DISPLAY <= SEG_9; when others => DISPLAY <= SEG_E; end case; end process DECODE; end RTL;