library ieee; use ieee.std_logic_1164.all; entity DISP_MUX is port(EXP_TIME : in integer; -- range needed NO_PICS : in integer; -- range needed SHOW_TIME : in std_ulogic; -- add ERROR port DISP_PHOTO : out integer;); -- range needed end DISP_MUX; architecture RTL of DISP_MUX is begin process(NO_PICS, EXP_TIME...) -- complete sensitivity list!! begin -- add ERROR condition if SHOW_TIME = '1' then -- output = exposure time else -- output = picture count end if; end process; end RTL;