vhdl_workshop:lab_2

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
vhdl_workshop:lab_2 [2017/02/10 14:42]
SSE Minion
vhdl_workshop:lab_2 [2017/02/15 13:20] (current)
SSE Minion [Synopsis]
Line 3: Line 3:
 As the module will be used to multiplex single digit values later on, the bus widths need to be adjusted. This is easily done by specifying a range for all data signals which are of type integer. Additionally, error conditions shall also be signaled to the user via the camera display. Therefore, an additional control input named ERROR is needed. The following figure shows the updated schematic: As the module will be used to multiplex single digit values later on, the bus widths need to be adjusted. This is easily done by specifying a range for all data signals which are of type integer. Additionally, error conditions shall also be signaled to the user via the camera display. Therefore, an additional control input named ERROR is needed. The following figure shows the updated schematic:
  
-TODO Bild The enhanced multiplexer+{{:vhdl_workshop:workshop_extmultiplexer.svg?nolink&500|The enhanced multiplexer}}
  
 ==== Behaviour ==== ==== Behaviour ====