vhdl_reference_93:qualified_expression

Qualified Expression

The type of the individual return value is explicitly selected by the qualified expressions. Thus, the corresponding `=`-function is selected as well.

w <= (a=b) = (c=d);
x <= (a=b) = mvl4'(c=d);
y <= (a=b) = bit'(c=d);
z <= (a=b) = boolean'(c=d); 

The qualified expression merges two single bit types to a vector with the (sub-) type vec_type. The value of this newly formed vector is examined in the case assignment

CASE vec_type'(A & B) is
   when "00" => VALUE <= 0;
   when "01"   => VALUE <= 1;
   when "10"   => VALUE <= 2;
   when "11"   => VALUE <= 3;
   when others => VALUE <= 9;
end case;