vhdl_reference_93:aggregates

Aggregates

( element_association { , element_association } )

[ choices ⇒ ] expression

choice { | choice }

This aggregate has the width 4.

(a_bit, b_bit, c_bit, d_bit)

With this aggregate it is possible to assign different values to the individual elements of an array.

(7=>'1', 5 downto 1 => '1', 6 => b_bit, others => '0')

With this aggregate it is possible to assign the value 0 to all individual elements of a two-dimensional array.

(others => (others => '0'));