courses:system_design:vhdl_language_and_syntax:sequential_statements:start

Sequential Statements

Sequential Statements

  • Executed according to the order in which they appear
  • Permitted only within processes and subprograms
  • Used to describe algorithms

Notes

All statements in processes or subprograms are processed sequentially, i.e. one after another.

Like in ordinary programming languages there exists a variety of constructs to control the flow of execution. The if clause is probably the most obvious and most frequently used.


Chapters of System Design > VHDL Language and Syntax > Sequential Statements