library ieee; use ieee.std_logic_1164.all; entity EXP_FF is port(CLK : in std_ulogic; RESET : in std_ulogic; KEY : in T_DIGITS; EXP_TIME : out T_DIGITS); end EXP_FF; architecture RTL of EXP_FF is begin process(CLK) begin if (RESET = '1') then -- Assign a default value elsif (CLK'event and CLK = '1') then -- Check for new input values end if; end process; end RTL;