architecture RTL_CASE of DECODER is begin process(KEYPAD) begin case KEYPAD is when "1000000000" => KEY <= …; when "0100000000" => KEY <= … ; when "0010000000" => KEY <= … ; when "0001000000" => KEY <= … ; when "0000110000" => KEY <= … ; … when "0000000001" => KEY <= … ; end case; end process; end RTL_CASE;